From 3b44aae43e81d56d0686d2dee8b1ded3c277f2fd Mon Sep 17 00:00:00 2001 From: Michael Wallner Date: Tue, 17 Oct 2017 10:51:13 +0200 Subject: [PATCH] blacklist: vsyslog --- src/module.c | 1 + 1 file changed, 1 insertion(+) diff --git a/src/module.c b/src/module.c index 1a0ef35..c31ce8d 100644 --- a/src/module.c +++ b/src/module.c @@ -345,6 +345,7 @@ static PHP_GINIT_FUNCTION(psi) /* va_list as arg */ BL_DECL_ADD("*v*printf"); BL_DECL_ADD("*v*scanf"); + BL_DECL_ADD("vsyslog"); /* LFS/LFO for 32bit */ BL_DECL_ADD("*stat*64"); -- 2.30.2